{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"SpinalHDL","owner":"SpinalHDL","isFork":false,"description":"Scala based HDL","allTopics":["fpga","rtl","scala","vhdl","verilog"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":20,"issueCount":105,"starsCount":1567,"forksCount":304,"license":"Other","participation":[31,4,15,13,14,7,10,27,35,67,33,6,14,26,11,16,27,12,15,36,29,18,15,7,3,10,4,10,17,13,11,19,24,8,10,34,24,44,40,17,14,10,16,32,12,14,22,22,21,42,27,8],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-22T18:19:45.827Z"}},{"type":"Public","name":"VexiiRiscv","owner":"SpinalHDL","isFork":false,"description":"Like VexRiscv, but, Harder, Better, Faster, Stronger","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":8,"starsCount":60,"forksCount":7,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,16,49,53,38,15,28,13,14,33,48,25,30,36,28,9,7,10,21,25,5,9,17,15,20,15,16,20,5,4,4,7,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-21T08:10:30.372Z"}},{"type":"Public","name":"SpinalWorkshop","owner":"SpinalHDL","isFork":false,"description":"Labs to learn SpinalHDL","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":5,"starsCount":130,"forksCount":39,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-13T09:13:24.983Z"}},{"type":"Public template","name":"SpinalTemplateSbt","owner":"SpinalHDL","isFork":false,"description":"A basic SpinalHDL project","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":4,"issueCount":5,"starsCount":69,"forksCount":59,"license":null,"participation":[0,0,0,2,0,0,0,1,0,0,0,0,0,0,0,0,0,0,2,1,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-13T09:12:46.678Z"}},{"type":"Public","name":"NaxRiscv","owner":"SpinalHDL","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":38,"starsCount":240,"forksCount":38,"license":"MIT License","participation":[3,0,1,6,9,6,13,7,9,2,1,9,4,0,3,12,8,36,12,4,6,0,0,0,0,1,0,0,1,7,0,6,11,4,0,0,4,0,0,0,0,0,0,2,0,0,0,0,6,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-31T14:10:03.595Z"}},{"type":"Public","name":"SaxonSoc","owner":"SpinalHDL","isFork":false,"description":"SoC based on VexRiscv and ICE40 UP5K","allTopics":["riscv","rtl","soc"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":15,"starsCount":145,"forksCount":39,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-04T08:50:31.072Z"}},{"type":"Public","name":"SpinalTemplateSbtDependencies","owner":"SpinalHDL","isFork":false,"description":"An SpinalHDL project example which use VexRiscv git as a dependency","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-04T09:11:54.445Z"}},{"type":"Public","name":"SpinalTemplateGradle","owner":"SpinalHDL","isFork":false,"description":"A basic SpinalHDL project, configured with Gradle instead of SBT","allTopics":["spinalhdl"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":1,"issueCount":1,"starsCount":3,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-05T09:58:31.968Z"}},{"type":"Public","name":"SpinalCrypto","owner":"SpinalHDL","isFork":false,"description":"SpinalHDL - Cryptography libraries","allTopics":["cryptography","scala","crypto","fpga","aes","vhdl","md5","sha","rtl","verilog","crc","hmac","des","spinalhdl"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":2,"starsCount":46,"forksCount":19,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-30T22:30:48.913Z"}},{"type":"Public","name":"SpinalTemplateMill","owner":"SpinalHDL","isFork":true,"description":"A simple SpinalHDL demo project based on Mill","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-06-12T10:00:40.622Z"}},{"type":"Public","name":"SpinalBaseProject-OLD-","owner":"SpinalHDL","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":10,"forksCount":5,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2017-12-18T15:44:54.454Z"}}],"repositoryCount":11,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}