Skip to content

Commit

Permalink
VICII updates.
Browse files Browse the repository at this point in the history
  • Loading branch information
sorgelig committed Mar 19, 2020
1 parent ae4df6b commit 843d51d
Showing 1 changed file with 4 additions and 8 deletions.
12 changes: 4 additions & 8 deletions video_vicII_656x.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -92,7 +92,6 @@ architecture rtl of video_vicii_656x is

-- State machine
signal lastLineFlag : boolean; -- True for on last line of the frame.
signal beyondFrameFlag : boolean; -- Y>frame lines
signal vicCycle : vicCycles := cycleRefresh1;
signal sprite : unsigned(2 downto 0) := "000";
signal shiftChars : boolean;
Expand Down Expand Up @@ -712,14 +711,12 @@ rasterCounters: process(clk)
if phi = '1'
and enaData = '1'
and baSync = '0' then
beyondFrameFlag <= false;
if (vicCycle = cycleSpriteB)
and (sprite = 2) then
rasterY <= rasterY + 1;
beyondFrameFlag <= lastLineFlag;
end if;
if beyondFrameFlag then
rasterY <= (others => '0');
if lastLineFlag then
rasterY <= (others => '0');
end if;
end if;
end if;
end if;
Expand Down Expand Up @@ -1230,8 +1227,7 @@ spriteSpriteCollision: process(clk)
and (collision /= "00010000")
and (collision /= "00100000")
and (collision /= "01000000")
and (collision /= "10000000")
and (TBBorder = '0') then
and (collision /= "10000000") then
M2M <= M2M or collision;

-- Give collision interrupt but only once until clear of register
Expand Down

0 comments on commit 843d51d

Please sign in to comment.