Skip to content

Commit

Permalink
T65: don't delay IRQ with branches when not RDY
Browse files Browse the repository at this point in the history
  • Loading branch information
sorgelig committed Apr 13, 2020
1 parent 3ed9b5e commit d25498f
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion t65/T65.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -473,7 +473,7 @@ begin
end if;

-- detect irq even if not rdy
if IR(4 downto 0)/="10000" or Jump/="01" then -- delay interrupts during branches (checked with Lorenz test and real 6510), not best way yet, though - but works...
if IR(4 downto 0)/="10000" or Jump/="01" or really_rdy = '0' then -- delay interrupts during branches (checked with Lorenz test and real 6510), not best way yet, though - but works...
IRQ_n_o <= IRQ_n;
end if;
-- detect nmi even if not rdy
Expand Down

0 comments on commit d25498f

Please sign in to comment.