Skip to content

Commit

Permalink
Remove LFortran tests that now fail
Browse files Browse the repository at this point in the history
  • Loading branch information
certik committed Mar 19, 2022
1 parent 3114534 commit ed5d37b
Show file tree
Hide file tree
Showing 2 changed files with 0 additions and 54 deletions.
22 changes: 0 additions & 22 deletions src/lpython/tests/test_llvm.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -957,25 +957,3 @@ end function
CHECK(r.result.type == FortranEvaluator::EvalResult::integer4);
CHECK(r.result.i32 == 2);
}

TEST_CASE("FortranEvaluator 10 trig functions") {
CompilerOptions cu;
FortranEvaluator e(cu);
LFortran::Result<FortranEvaluator::EvalResult>
r = e.evaluate2("sin(1.0)");
CHECK(r.ok);
CHECK(r.result.type == FortranEvaluator::EvalResult::real4);
CHECK(std::abs(r.result.f32 - 0.8414709848078965) < 1e-7);
r = e.evaluate2("sin(1.d0)");
CHECK(r.ok);
CHECK(r.result.type == FortranEvaluator::EvalResult::real8);
CHECK(std::abs(r.result.f64 - 0.8414709848078965) < 1e-14);
r = e.evaluate2("cos(1.0)");
CHECK(r.ok);
CHECK(r.result.type == FortranEvaluator::EvalResult::real4);
CHECK(std::abs(r.result.f32 - 0.5403023058681398) < 1e-7);
r = e.evaluate2("cos(1.d0)");
CHECK(r.ok);
CHECK(r.result.type == FortranEvaluator::EvalResult::real8);
CHECK(std::abs(r.result.f64 - 0.5403023058681398) < 1e-14);
}
32 changes: 0 additions & 32 deletions src/lpython/tests/test_serialization.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -212,38 +212,6 @@ end function
)""");
}

TEST_CASE("ASR Tests 3") {
asr_ser(R"""(
program doconcurrentloop_01
implicit none
real, dimension(10000) :: a, b, c
real :: scalar
integer :: i, nsize
scalar = 10
nsize = size(a)
do concurrent (i = 1:nsize)
a(i) = 5
b(i) = 5
end do
call triad(a, b, scalar, c)
print *, "End Stream Triad"
contains
subroutine triad(a, b, scalar, c)
real, intent(in) :: a(:), b(:), scalar
real, intent(out) :: c(:)
integer :: N, i
N = size(a)
do concurrent (i = 1:N)
c(i) = a(i) + scalar * b(i)
end do
end subroutine
end program
)""");
}

TEST_CASE("ASR Tests 4") {
asr_ser(R"""(
module a
Expand Down

0 comments on commit ed5d37b

Please sign in to comment.