Skip to content

QCH170308/SKY130-PD-workshop

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

5 Commits
 
 

Repository files navigation

SKY130-PD-workshop

Day1-Inception of open-source EDA, OpenLANE and Sky130 PDK

image

Let's first look into "pdks", this folder contains all informations related to the PDK (Process Design Kit). The PDK which is used in this workshop is a open source PDK named Skywater 130nm.

image

The first folder "skywater-pdk" has all the pdk related files.

image

image

image

image

image

image

image

image

image

image

The Flop ratio from 1-yosys_4.stat.rpt is 1613/14876=0.1084 (no. of dfxtp (d filpflop) / no. of cells)

The buffer (buf1) ratio is 1656/14876=0.1113 (no. of buf_1 / no. of cells)

Day2-Good floorplan vs bad floorplan and introduction to library cells

Go to path: /home/quanch/Desktop/work/tools/openlane_working_dir/openlane/configuration

Then open README.md

image

image

Then open floorplan.tcl in the same folder

image

Under the path:

/home/quanch/Desktop/work/tools/openlane_working_dir/openlane/designs/picorv32a

image

There are two settings: sky130A_sky130_fd_sc_hd_config.tcl and config.tcl

The priority of these settings are: sky130A_sky130_fd_sc_hd_config.tcl > config.tcl > floorplan.tcl

Then run "run_floorplan" in OpenLANE

image

Go to the path:

/home/quanch/Desktop/work/tools/openlane_working_dir/openlane/designs/picorv32a/runs/06-08_16-05/logs/floorplan

Then open 4-ioPlacer.log

image

image

Go to the path:

/home/quanch/Desktop/work/tools/openlane_working_dir/openlane/designs/picorv32a/runs/06-08_16-05

And open config.tcl

image

In the path:

/home/quanch/Desktop/work/tools/openlane_working_dir/openlane/designs/picorv32a

The file: sky130A_sky130_fd_sc_hd_config.tcl

image

Go to the path:

/home/quanch/Desktop/work/tools/openlane_working_dir/openlane/designs/picorv32a/runs/06-08_16-05/results/floorplan

Open picorv32a.floorplan.def

image

Then under the same folder run command:

magic -T /home/quanch/Desktop/work/tools/openlane_working_dir/pdks/sky130A/libs.tech/magic/sky130A.tech lef read ../../tmp/merged.lef def read picorv32a.floorplan.def &

image

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published