Skip to content
View kivikakk's full-sized avatar
🐰
🐰

Sponsors

@github

Organizations

@chryse-hdl
Block or Report

Block or report kivikakk

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Starred repositories

Showing results

A shallow wrapper around SDL that provides object API and error handling

C 310 64 Updated Jun 11, 2024

my obsidian publish knockoff that generates (largely static) websites

Zig 21 1 Updated Jun 12, 2024

Chisel: A Modern Hardware Design Language

Scala 3,787 574 Updated Jun 12, 2024

Send video/audio over HDMI on an FPGA

SystemVerilog 1,034 109 Updated Feb 3, 2024

Project framework for Chisel

Scala 2 Updated Jun 11, 2024

FPGA ULX2/3 JTAG programmer

C 38 9 Updated Nov 2, 2022

A repository that implements simple examples to test the feasibility of TyWaves solutions w.r.t. mapping from Chisel level code to final values dumped in trace files by the simulators.

Scala 15 Updated Jun 11, 2024
Scala 11 Updated May 8, 2024

Exploring Wave Pipeline Designs in FPGAs

Scala 4 Updated Apr 19, 2024

The Terraform plugin for the Dominos Pizza provider.

Go 1,094 84 Updated May 28, 2024

Experiments with Yosys cxxrtl backend

Verilog 44 1 Updated Dec 23, 2023

a simple Scala CLI parsing library

Scala 674 58 Updated Mar 16, 2024

Circuit IR Compilers and Tools

C++ 1,553 276 Updated Jun 12, 2024

Main monorepo for @zig-gamedev libs and example applications

C 2,041 146 Updated Jun 11, 2024

the Anime Woman's Tagged File Data Base.

Zig 32 1 Updated May 18, 2024

A full-speed device-side USB peripheral core written in Verilog.

Verilog 204 37 Updated Oct 30, 2022

Farpatch debugger software layer, backed by Black Magic

C 37 8 Updated Jun 12, 2024

Scala based HDL

Scala 1,555 304 Updated Jun 12, 2024

Unix-like OS in Rust inspired by xv6-riscv

Rust 1,261 52 Updated May 8, 2024

A small but extremely fast safe USB DFU bootloader for both microcontroller and FPGA

Python 11 Updated Mar 11, 2024

Support files for participating in a Fomu workshop

Verilog 157 63 Updated Mar 17, 2024

  

HTML 15 3 Updated Jun 9, 2024
Scala 23 3 Updated Feb 11, 2021

WTFpga port to chisel3

Scala 2 Updated Jan 16, 2022

Capability Secure Distributed Infrastructure

Rust 22 3 Updated Jun 5, 2024

The Servo Book (experimental)

JavaScript 8 2 Updated Jun 1, 2024

This is a repository that aims to concisely explain the issues in Nix community

155 2 Updated Apr 27, 2024

Cross-platform game engine in Rust.

Rust 2,959 289 Updated May 30, 2024

Cross platform rendering in Rust

Rust 1,452 161 Updated Jun 12, 2024
Next