Skip to content

Issues: openhwgroup/cv32e40x

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

User Manual block diagram does not illustrate the eXtension Interface Component:Doc For issues in the Documentation (e.g. for User Manual, README.md files)
#980 opened Feb 16, 2024 by MikeOpenHWGroup
Set misa.B when all of Zba, Zbb, Zbs are supported Component:RTL For issues in the RTL (e.g. for files in the rtl directory) PARAM:B_EXT Issue depends on the B_EXT parameter Type:Enhancement For feature requests and enhancements
#979 opened Jan 4, 2024 by Silabs-ArjanB
[XIF] Coprocessor XIF Issue response not sampled by ID-EX pipeline registers Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#941 opened Sep 7, 2023 by StMiky
[X2] AMO dont set rvfi_mem_rmask Component:Other Non-RTL, non-documentation (e.g. bhv, sva) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#912 opened Aug 18, 2023 by silabs-krdosvik
[XIF] Assertion a_sleep_inactive_signals fails when X_EXT=1 Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#867 opened Jun 2, 2023 by silabs-oysteink
[XIF] Issue_req.id not updating and missing commit_valid Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#814 opened Mar 24, 2023 by davidmallasen
Track corev-binutils-gdb issues Component:Other Non-RTL, non-documentation (e.g. bhv, sva) Type:Task For any task except bug fixes
#809 opened Mar 20, 2023 by Silabs-ArjanB
[XIF] Redundant mem_result when using CORE-V-XIF Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#800 opened Mar 8, 2023 by davidmallasen
[XIF] LSU may send mpu_status to WB too early Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#653 opened Aug 25, 2022 by silabs-oysteink
[XIF] Forwarding of data from WB with X_EXT=1 is not working. Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#652 opened Aug 25, 2022 by silabs-oysteink
[XIF] Exceptions on X-interface does not cause the core to take an exception Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#651 opened Aug 25, 2022 by silabs-oysteink
[XIF] The controller FSM's XIF commit tracking signals sometimes fail to be reset Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#610 opened Jul 6, 2022 by michael-platzer
[X2] Avoid JALR related timing path Component:RTL For issues in the RTL (e.g. for files in the rtl directory)
#523 opened Apr 28, 2022 by Silabs-ArjanB
[X2] Avoid CLIC related timing path Component:RTL For issues in the RTL (e.g. for files in the rtl directory)
#522 opened Apr 28, 2022 by Silabs-ArjanB
[XIF] Interrupts cleared too late Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#507 opened Apr 8, 2022 by Silabs-ArjanB
[XIF] Finalize be vs. size Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Task For any task except bug fixes
#464 opened Feb 28, 2022 by Silabs-ArjanB
[XIF] Scoreboard Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Task For any task except bug fixes
#463 opened Feb 28, 2022 by Silabs-ArjanB
[XIF] Too many instructions can execute after interrupt becomes pending and enabled Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Bug For bugs in any content (RTL, Documentation, etc.)
#325 opened Nov 22, 2021 by Silabs-ArjanB
[XIF] Implement even/odd write in register file Component:RTL For issues in the RTL (e.g. for files in the rtl directory) good first issue Type:Task For any task except bug fixes
#298 opened Oct 27, 2021 by Silabs-ArjanB
[XIF] Implement reference coprocessor Component:RTL For issues in the RTL (e.g. for files in the rtl directory) Type:Task For any task except bug fixes
#279 opened Oct 14, 2021 by Silabs-ArjanB
[XIF] Implement even/odd read in register file Component:RTL For issues in the RTL (e.g. for files in the rtl directory) good first issue Type:Task For any task except bug fixes
#278 opened Oct 14, 2021 by Silabs-ArjanB
ProTip! What’s not been updated in a month: updated:<2024-05-21.