Skip to content

Pull requests: YosysHQ/yosys

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Reviews
Assignee
Filter by who’s assigned
Sort

Pull requests list

Support for single bit coarse cells. Option for debug prints.
#433 opened Oct 9, 2017 by Kmanfi Loading… updated Mar 21, 2024
Fix trace tb generation
#1680 opened Feb 4, 2020 by towoe Loading… updated Mar 21, 2024
Add support for QuickLogic devices
#1816 opened Mar 26, 2020 by kgugala Draft updated Mar 21, 2024
cleaning enhancements see #2048
#2049 opened May 14, 2020 by gojimmypi Loading… updated Mar 21, 2024
clean: remove unused wires even with (* init *) on
#2076 opened May 25, 2020 by eddiehung Draft updated Mar 21, 2024
Add partition command to do balanced hypergraph partitioning on a gate-level module
#2172 opened Jun 18, 2020 by boqwxp Draft updated Mar 21, 2024
3 tasks done
Add support for unpacked arrays assignment
#2331 opened Aug 5, 2020 by kgugala Loading… updated Mar 21, 2024
gowin: Fix cells_sim.v to make abc9 work
#2391 opened Sep 27, 2020 by tpambor Loading… updated Mar 21, 2024
Adds support for defining the YOSYS_DATDIR location at runtime
#2417 opened Oct 29, 2020 by QuantamHD Loading… updated Mar 21, 2024
proc_prune: do not promote partially redundant assignments.
#2458 opened Nov 28, 2020 by jfng Loading… updated Mar 21, 2024
ice40: simulate SPRAM poweron delay in cells_sim
#2471 opened Dec 3, 2020 by sapphire-arches Loading… updated Mar 21, 2024
Intel le
#2477 opened Dec 6, 2020 by salarii Loading… updated Mar 21, 2024
quicklogic: add PolarPro3 BRAM inference
#2703 opened Mar 25, 2021 by Ravenslofty Loading… updated Mar 21, 2024
Revert "Merge pull request #641 from tklam/master"
#2740 opened Apr 28, 2021 by clairexen Loading… updated Mar 21, 2024
Fixing issues found with sanitizers
#2834 opened Jun 16, 2021 by mmicko Draft updated Mar 21, 2024
1 of 2 tasks
Docker file & github docker hub
#2844 opened Jun 22, 2021 by mrazekv Loading… updated Mar 21, 2024
firrtl: Add support for yosys' $lut cell
#2994 opened Sep 1, 2021 by sahandKashani Loading… updated Mar 21, 2024
Fix global cache destruction in IdString class
#3081 opened Nov 18, 2021 by Icenowy Loading… updated Mar 21, 2024
Add initial sva2smt parser/converter
#3118 opened Dec 16, 2021 by clairexen Draft updated Mar 21, 2024
[RFC] combining memories for byte enables
#3157 opened Jan 14, 2022 by mkj Draft updated Mar 21, 2024
ecp5: map reduce_{and/or} to carry chains
#3161 opened Jan 17, 2022 by Ravenslofty Draft updated Mar 21, 2024
smtbmc: Check assertions individually
#3254 opened Mar 28, 2022 by jix Draft updated Mar 21, 2024
abc9: break conflict between boxes and outputs
#3311 opened May 10, 2022 by Ravenslofty Draft updated Mar 21, 2024
Add parsing of verilog module port aliases (fixes #3334) discuss to be discussed at next dev jour fixe (see #devel-discuss at https://yosyshq.slack.com/)
#3339 opened May 24, 2022 by hansfbaier Loading… updated Mar 21, 2024
ProTip! Add no:assignee to see everything that’s not assigned.