Skip to content

Issues: chipsalliance/verible

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Missing space before hashtag in typedef declaration of parameterized class formatter Verilog code formatter issues good first issue Good for newcomers opentitan
#850 opened Jun 8, 2021 by ghost updated Mar 2, 2024
Rejected valid signal name rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
#2076 opened Jan 19, 2024 by matlupi updated Feb 2, 2024
Language Server: implement textDocument/hover language-server Language server related issues
#1187 opened Feb 11, 2022 by hzeller updated Jan 5, 2024
[macOS] CI not building release binaries for macOS
#1344 opened Jun 6, 2022 by tymcauley updated Jul 20, 2023
googletest library: download hash not matching anymore. build system matters pertaining to building Verible
#1168 opened Feb 1, 2022 by hzeller updated Feb 1, 2022
Forbid implicit declarations style-linter Verilog style-linter issues
#217 opened Feb 23, 2020 by corco updated Aug 9, 2021
ProTip! Type g p on any issue or pull request to go back to the pull request listing page.