Skip to content

Issues: chipsalliance/verible

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Rejected valid signal name rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
#2076 opened Jan 19, 2024 by matlupi
Language Server: implement textDocument/hover language-server Language server related issues
#1187 opened Feb 11, 2022 by hzeller
googletest library: download hash not matching anymore. build system matters pertaining to building Verible
#1168 opened Feb 1, 2022 by hzeller
Forbid implicit declarations style-linter Verilog style-linter issues
#217 opened Feb 23, 2020 by corco
ProTip! Follow long discussions with comments:>50.