Skip to content

Issues: chipsalliance/verible

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Instantiations Wrapped with One Port formatter Verilog code formatter issues
#1889 opened Apr 24, 2023 by bkueffle
verible-verilog-format fails verification with macro formatter Verilog code formatter issues
#1883 opened Apr 22, 2023 by nathanbeckmann
Use colored patch output in diff ?
#1874 opened Apr 19, 2023 by hzeller
Use tabs for indentation instead of spaces enhancement New feature or request formatter Verilog code formatter issues
#1859 opened Apr 9, 2023 by hrivu21
Build fails needs the c++20 flag build system matters pertaining to building Verible
#1856 opened Apr 5, 2023 by yurivict
Bracket position depends on comment length formatter Verilog code formatter issues
#1855 opened Apr 4, 2023 by skazarynau1
format long for with commas formatter Verilog code formatter issues
#1854 opened Apr 4, 2023 by skazarynau1
alignment by equals sign (feature req) formatter Verilog code formatter issues
#1853 opened Apr 4, 2023 by skazarynau1
No indent on a new line in a function call with macro formatter Verilog code formatter issues
#1852 opened Apr 4, 2023 by skazarynau1
[Discussion] Smoke Test improvement roadmap help wanted Extra attention is needed
#1839 opened Mar 28, 2023 by jbylicki
Sequence rejects clocking event as invalid syntax rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
#1838 opened Mar 28, 2023 by jbylicki
Macro call inside preprocessor control flow causes syntax erros rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
#1837 opened Mar 28, 2023 by jbylicki
Option to have alignment only apply to blocks of code formatter Verilog code formatter issues
#1832 opened Mar 24, 2023 by patrickrst
linter: Add rule for spaces around binary operators enhancement New feature or request style-linter Verilog style-linter issues
#1829 opened Mar 23, 2023 by glatosinski
linter: Add rule for allowing ASCII characters enhancement New feature or request style-linter Verilog style-linter issues
#1828 opened Mar 23, 2023 by glatosinski
linter: Add rule for file header comment enhancement New feature or request style-linter Verilog style-linter issues
#1827 opened Mar 23, 2023 by glatosinski
linter: Add rule for spaces around keywords enhancement New feature or request style-linter Verilog style-linter issues
#1826 opened Mar 23, 2023 by glatosinski
linter: Add rule for indented sections enhancement New feature or request style-linter Verilog style-linter issues
#1825 opened Mar 23, 2023 by glatosinski
Semicolon, comma and parenthesis alignment issues formatter Verilog code formatter issues
#1812 opened Mar 15, 2023 by Lcr20010506
SVA: syntax error around fail-action block under ifdef formatter Verilog code formatter issues
#1792 opened Mar 9, 2023 by svenka3
Verible JSON configuration enhancement New feature or request style-linter Verilog style-linter issues
#1742 opened Feb 23, 2023 by qarlosalberto
ProTip! Adding no:label will show everything without a label.