Skip to content

Issues: chipsalliance/verible

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

check declared identifiers against set of unwanted patterns good first issue Good for newcomers style-linter Verilog style-linter issues
#370 opened Jul 22, 2020 by fangism
Build error on Windows build system matters pertaining to building Verible
#1669 opened Feb 1, 2023 by LoveMyPillow
Make macro-name-style lint rule parameterizable style-linter Verilog style-linter issues
#378 opened Aug 3, 2020 by msfschaffner
build fails on windows
#1993 opened Aug 9, 2023 by fpgauserdude
Build fails needs the c++20 flag build system matters pertaining to building Verible
#1856 opened Apr 5, 2023 by yurivict
[Mac-os]: create a brew installable binary releases binary release packages
#758 opened Apr 14, 2021 by hzeller
Syntax error within SV macros preprocessor anything related to preprocessing (conditionals, macros, etc.)
#102 opened Dec 17, 2019 by msfschaffner
[kythe] Extract packed dimensions for unit kythe source code fact extraction and indexing
#518 opened Oct 1, 2020 by MinaToma
Use case: Removing / expanding preprocessor statements and/or parameters help wanted Extra attention is needed preprocessor anything related to preprocessing (conditionals, macros, etc.)
#365 opened Jul 15, 2020 by WeeBull
Use OpenTitan dv_macros.svh as a testcase for macro handling formatter Verilog code formatter issues
#251 opened Apr 1, 2020 by msfschaffner
Provide OpenSUSE / SUSE Enterprise Server / RHEL binaries releases binary release packages
#1444 opened Sep 12, 2022 by hzeller
[line breaks, wraps] Line break tuning formatter Verilog code formatter issues
#36 opened Nov 26, 2019 by msfschaffner
Project tool: crash on some caliptra-rtl files bug Something isn't working language-server Language server related issues project-tool Issues related to the project tool/symbol table
#1946 opened Jun 13, 2023 by hzeller
arm64/centos7 fails to build
#1926 opened May 17, 2023 by hzeller
Use colored patch output in diff ?
#1874 opened Apr 19, 2023 by hzeller
LSP fails go-to-definition in Windows environment language-server Language server related issues
#1690 opened Feb 7, 2023 by viktortomov
Is there a way to manually keep line wrap formatter Verilog code formatter issues
#1349 opened Jun 24, 2022 by pinkcatfly
verible-verilog-format unable to preprocess macros correctly formatter Verilog code formatter issues preprocessor anything related to preprocessing (conditionals, macros, etc.)
#812 opened May 9, 2021 by tianrui-wei
Failure to parse if/else macros in always block headers preprocessor anything related to preprocessing (conditionals, macros, etc.)
#228 opened Mar 11, 2020 by imphil
Event triggering not recognised as valid syntax bug Something isn't working rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
#1940 opened Jun 5, 2023 by matlupi
ProTip! Type g i on any issue or pull request to go back to the issue listing page.